طراحی مدارهای منطقی با استفاده از زبان برنامه‌نویسی VHDL
نویسنده:
مرتضی محمدی زنجیره ،زهرا یعقوبی ، زهرا حبیبی
مترجم:
سال نشر:
1402
صفحه:
89
نوبت چاپ:
1

به طور کلی زبان توصیف سخت‌افزار یا HDL مخفف Hardware Description Language است و ابزاری برای طراحی، شبیه‌سازی و مدل‌سازی سیستم‌های آنالوگ و دیجیتال میباشد. ما در این کتاب منحصراً به بخش دیجیتال می‌پردازیم، به همین منظور به آموزش زبان VHDL که از مهمترین زبانهای توصیف سخت‌افزار است، ارائه می‌گردد.

در مبحث مدار منطقی با اساس و اصول اولیه طراحی سیستمهای دیجیتال آشنا شده‌ایم و دیده‌ایم که با استفاده از مدارهای ترکیبی و ترتیبی سنکرون و آسنکرون و عناصر دیگر می‌توان سیستم دیجیتالی بزرگتر را پیاده‌سازی کرد. بسته به کاربرد در رشته‌های مختلف مانند مخابرات،کارهای DSP، فیلترینگ دیجیتال،پردازش تصویر، پردازش صوت، پردازش ویدیو و همچنین شبکه؛ در رشته مهندسی پزشکی روی کارهای پردازش تصویر و در رشته مهندسی کامپیوتر روی طراحی سخت‌افزار کامپیوتر همچنین اپلیکیشن‌های هوش مصنوعی، شبکه‌های عصبی، نیاز است که حداقل با یکی از زبان‌های توصیف سخت‌افزار دیجیتال آشنایی داشته باشیم.

مهم‌ترین زبان‌های توصیف سخت‌افزار Verilog و VHDL است. این دو زبان از لحاظ ماهیت و سخت‌افزار یکی هستند و فقط از لحاظ ظاهر متفاوت هستند. زبان Verilog از لحاظ ظاهری شبیه برنامه C می‌باشد اما زبان VHDL کامل‌تر و جامع‌تر است.

فصل اول-  مقدمه‌ و آشنایی با طراحی دیجیتال

فصل دوم - مفاهیم اولیه زبان VHDL

فصل سوم - ساختارهای زبان VHDL

 


تمامی حقوق این سایت برای سازمان ترویج مطالعه و نشر جهاد دانشگاهی محفوظ است. نقل مطالب با ذکر منبع بلامانع است.
Copyright ©2024 Iranian Students Booking Agency. All rights reserved